Model { Name "Lab6" Version 8.9 SavedCharacterEncoding "windows-1252" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.64" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 NumResetEvents 0 HasInitializeEvent 0 HasTerminateEvent 0 IsExportFunctionModel 0 NumParameterArguments 0 OrderedModelArguments 1 } LogicAnalyzerGraphicalSettings "" LogicAnalyzerPlugin "on" LogicAnalyzerSignalOrdering "" DiagnosticSuppressor "on" SuppressorTable "22 serialization::archive 11 0 6 0 0 0 8 0" SLCCPlugin "on" ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [8.0, 8.0, 1215.0, 808.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1161.0, 627.0] ZoomFactor [1.0] Offset [0.0, 0.0] } Object { $PropName "DockComponentsInfo" $ObjectID 6 $ClassName "Simulink.DockComponentInfo" Type "GLUE2:PropertyInspector" ID "Property Inspector" Visible [0] CreateCallback "" UserData "" Floating [0] DockPosition "Right" Width [640] Height [480] } WindowState "AAAA/wAAAAD9AAAAAgAAAAAAAAC9AAAB+PwCAAAAA/sAAAAWAEQAbwBjAGsAVwBpAGQAZwBlAHQAMwEAAAAxAAAB+AAAA" "AAAAAAA+wAAABYARABvAGMAawBXAGkAZABnAGUAdAA0AAAAAAD/////AAAAAAAAAAD7AAAAUgBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0Ac" "ABvAG4AZQBuAHQALwBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0AcABvAG4AZQBuAHQAAAAAAP////8AAABjAP///wAAAAEAAAAAAAAAAPwCA" "AAAAfsAAABUAEcATABVAEUAMgA6AFAAcgBvAHAAZQByAHQAeQBJAG4AcwBwAGUAYwB0AG8AcgAvAFAAcgBvAHAAZQByAHQAeQAgAEkAbgBzAHAAZ" "QBjAHQAbwByAAAAAAD/////AAAAKwD///8AAASvAAACrAAAAAEAAAACAAAAAQAAAAL8AAAAAQAAAAIAAAAP/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAB5/////wAAAAAAAAAA/////wEAAADa/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAFT/////wAAAAAAAAAA/" "////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAMr/////wAAAAAAAAAA/" "////wEAAANa/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA" } } HideAutomaticNames on Created "Thu Aug 13 15:32:49 2009" Creator "Bodson" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "mbodson" ModifiedDateFormat "%" LastModifiedDate "Mon Jan 11 12:31:33 2021" RTWModifiedTimeStamp 532269090 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off PortDataTypeDisplayFormat "AliasTypeOnly" ShowEditTimeErrors on ShowEditTimeWarnings on ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off VariantCondition off ExecutionContextIcon off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off FunctionConnectors off BrowserLookUnderMasks off SimulationMode "normal" VisualizeLoggedSignalsWhenLoggingToFile off PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 7 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "Lab6" Array { Type "Cell" Dimension 1 Cell "Lab6" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off OrderedModelArguments on Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 8 Version "1.17.0" DisabledProps [] Description "" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 9 Version "1.17.0" DisabledProps [] Description "" StartTime "0.0" StopTime "2.5" AbsTol "1e-9" FixedStep "1e-6" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-6" EnableMultiTasking on EnableConcurrentExecution off ConcurrentTasks off Solver "ode3" SolverName "ode3" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverInfoToggleStatus on IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" SampleTimeProperty [] } Simulink.DataIOCC { $ObjectID 10 Version "1.17.0" DisabledProps [] Description "" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints off MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "t" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off DatasetSignalFormat "timeseries" LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 11 Version "1.17.0" Array { Type "Cell" Dimension 8 Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "BooleansAsBitfields" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } Description "" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off AdvancedOptControl "" ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 2147483647 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "off" AccelVerboseBuild off OptimizeBlockOrder "off" OptimizeDataStoreBuffers on BusAssignmentInplaceUpdate on } Simulink.DebuggingCC { $ObjectID 12 Version "1.17.0" Array { Type "Cell" Dimension 1 Cell "UseOnlyExistingSharedCode" PropName "DisabledProps" } Description "" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "warning" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" UseOnlyExistingSharedCode "error" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim on RowMajorDimensionSupport off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" SymbolicDimsDataTypeCodeGenerationDiagnostic "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnreachableExecutionPathDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" SFTemporalDelaySmallerThanSampleTimeDiag "warning" SFSelfTransitionDiag "warning" SFExecutionAtInitializationDiag "none" SFMachineParentedDataDiag "warning" IntegerSaturationMsg "warning" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on UnitDatabase "" } Simulink.HardwareCC { $ObjectID 13 Version "1.17.0" DisabledProps [] Description "" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdBitPerSizeT 32 ProdBitPerPtrDiffT 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetBitPerSizeT 32 TargetBitPerPtrDiffT 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on } Simulink.ModelReferenceCC { $ObjectID 14 Version "1.17.0" DisabledProps [] Description "" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelDependencies "" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 15 Version "1.17.0" DisabledProps [] Description "" SimCustomSourceCode "" SimCustomHeaderCode "" SimCustomInitializer "" SimCustomTerminator "" SimReservedNameArray [] SimUserSources "" SimUserIncludeDirs "" SimUserLibraries "" SimUserDefines "" SimCustomCompilerFlags "" SimCustomLinkerFlags "" SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off ModelFunctionsGlobalVisibility "on" CompileTimeRecursionLimit 50 EnableRuntimeRecursion on MATLABDynamicMemAlloc on MATLABDynamicMemAllocThreshold 65536 } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 16 Version "1.17.0" Array { Type "Cell" Dimension 13 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" PropName "DisabledProps" } SystemTargetFile "grt.tlc" HardwareBoard "None" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off RTWBuildHooks [] ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomDefine "" CustomLAPACKCallback "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" CustomToolchainOptions [] IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" ObjectivePriorities [] RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 17 Version "1.17.0" Array { Type "Cell" Dimension 27 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "InsertPolySpaceComments" Cell "MATLABFcnDesc" Cell "InternalIdentifier" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrUtil" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" Cell "CustomUserTokenString" Cell "ReqsInCode" PropName "DisabledProps" } Description "" Comment "" ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrModelFcn "$R$N" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" CustomSymbolStrEmxType "emxArray_$M$N" CustomSymbolStrEmxFcn "emx$M$N" CustomUserTokenString "" CustomCommentsFcn "" DefineNamingRule "None" DefineNamingFcn "" ParamNamingRule "None" ParamNamingFcn "" SignalNamingRule "None" SignalNamingFcn "" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifierFile "" InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off ReservedNameArray [] } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 18 Version "1.17.0" Array { Type "Cell" Dimension 17 Cell "IncludeMdlTerminateFcn" Cell "RemoveResetFunc" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "GenerateAllocFcn" Cell "PurelyIntegerCode" Cell "PortableWordSizes" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "ExistingSharedCode" Cell "RemoveDisableFunc" PropName "DisabledProps" } Description "" TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" MultiwordTypeDef "System defined" MultiwordLength 2048 GenerateFullHeader on InferredTypesCompatibility off ExistingSharedCode "" GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on RemoveDisableFunc off RemoveResetFunc off SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off MDXCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on CoderGroups [] AccessMethods [] LookupTableObjectStructAxisOrder "1,2,3,4,..." UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeMexArgs "" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 19 Version "1.17.0" DisabledProps [] Description "Simulink Coverage Configuration Component" Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovCompData "" CovMetricSettings "dw" CovFilter "" CovHTMLOptions "" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovShowResultsExplorer on CovReportOnPause on CovModelRefEnable "off" CovModelRefExcluded "" CovExternalEMLEnable off CovSFcnEnable off CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 CovMcdcMode "Masking" } PropName "Components" } Name "Configuration" ExtraOptions "" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 103, 71, 1231, 825 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 8 } Object { $PropName "DataTransfer" $ObjectID 20 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on HideAutomaticName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "analyze" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Demux Outputs "4" DisplayOption "none" BusSelectionMode off } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off Unit "inherit" PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" WrapState off WrappedStateUpperValue "pi" WrappedStateLowerValue "-pi" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off Unit "inherit" PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" EnsureOutportIsVirtual off SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" MustResolveToSignalObject off } Block { BlockType S-Function FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off SystemSampleTime "-1" RTWSystemCode "Auto" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" FunctionInterfaceSpec "void_void" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" Opaque off MaskHideContents off SFBlockType "NONE" GeneratePreprocessorConditionals off PropagateVariantConditions off TreatAsGroupedWhenPropagatingVariantConditions on ContentPreviewEnabled off IsWebBlock off } Block { BlockType Terminator } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SaveFormat "Array" Save2DSignal "Inherit from input (this choice will be removed - see release notes)" FixptAsFi off NumInputs "1" SampleTime "0" } } System { Name "Lab6" Location [8, 8, 1223, 816] Open on PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "62" Block { BlockType SubSystem Name "BLDC 6-step model" SID "1" Ports [7, 9] Position [665, 16, 790, 494] ZOrder -1 FontName "Arial" FontSize 14 RequestExecContextInheritance off Variant off System { Name "BLDC 6-step model" Location [-8, 0, 1688, 1026] Open off PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "vol" SID "2" Position [20, 293, 50, 307] ZOrder -1 FontName "Arial" FontSize 14 IconDisplay "Port number" } Block { BlockType Inport Name "t1" SID "3" Position [20, 338, 50, 352] ZOrder -2 FontName "Arial" FontSize 14 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "t2" SID "4" Position [20, 383, 50, 397] ZOrder -3 FontName "Arial" FontSize 14 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "t3" SID "5" Position [20, 428, 50, 442] ZOrder -4 FontName "Arial" FontSize 14 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "t4" SID "6" Position [25, 473, 55, 487] ZOrder -5 FontName "Arial" FontSize 14 Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "t5" SID "7" Position [25, 518, 55, 532] ZOrder -6 FontName "Arial" FontSize 14 Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "t6" SID "8" Position [25, 563, 55, 577] ZOrder -7 FontName "Arial" FontSize 14 Port "7" IconDisplay "Port number" } Block { BlockType ToWorkspace Name "Angle" SID "9" Ports [1] Position [925, 680, 985, 710] ZOrder -8 FontName "Arial" FontSize 14 VariableName "th" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType SubSystem Name "BLDC motor model" SID "10" Ports [12, 9] Position [230, 130, 410, 695] ZOrder -9 FontName "Arial" FontSize 14 ErrorFcn "Stateflow.Translate.translate" PermitHierarchicalResolution "ExplicitOnly" TreatAsAtomicUnit on RequestExecContextInheritance off SFBlockType "MATLAB Function" Variant off System { Name "BLDC motor model" Location [197, 233, 864, 861] Open off PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "30" Block { BlockType Inport Name "ia" SID "10::1" Position [20, 101, 40, 119] ZOrder -1 IconDisplay "Port number" } Block { BlockType Inport Name "ib" SID "10::2" Position [20, 136, 40, 154] ZOrder -2 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "ic" SID "10::3" Position [20, 171, 40, 189] ZOrder -3 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "vol" SID "10::4" Position [20, 206, 40, 224] ZOrder -4 Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "t1" SID "10::5" Position [20, 246, 40, 264] ZOrder -5 Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "t2" SID "10::6" Position [20, 281, 40, 299] ZOrder -6 Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "t3" SID "10::7" Position [20, 316, 40, 334] ZOrder -7 Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "t4" SID "10::8" Position [20, 351, 40, 369] ZOrder -8 Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "t5" SID "10::9" Position [20, 386, 40, 404] ZOrder -9 Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "t6" SID "10::10" Position [20, 426, 40, 444] ZOrder -10 Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "om" SID "10::11" Position [20, 461, 40, 479] ZOrder -11 Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "th" SID "10::12" Position [20, 496, 40, 514] ZOrder -12 Port "12" IconDisplay "Port number" } Block { BlockType Demux Name " Demux " SID "10::29" Ports [1, 1] Position [270, 460, 320, 500] ZOrder 5 Outputs "1" } Block { BlockType S-Function Name " SFunction " SID "10::28" Tag "Stateflow S-Function Lab6 12" Ports [12, 10] Position [180, 95, 230, 355] ZOrder 4 FunctionName "sf_sfun" PortCounts "[12 10]" SFunctionDeploymentMode off EnableBusSupport on SFcnIsStateOwnerBlock off Port { PortNumber 2 Name "dia" } Port { PortNumber 3 Name "dib" } Port { PortNumber 4 Name "dic" } Port { PortNumber 5 Name "v1" } Port { PortNumber 6 Name "v2" } Port { PortNumber 7 Name "v3" } Port { PortNumber 8 Name "tau" } Port { PortNumber 9 Name "isense" } Port { PortNumber 10 Name "dom" } } Block { BlockType Terminator Name " Terminator " SID "10::30" Position [460, 471, 480, 489] ZOrder 6 } Block { BlockType Outport Name "dia" SID "10::16" Position [460, 101, 480, 119] ZOrder -16 IconDisplay "Port number" } Block { BlockType Outport Name "dib" SID "10::17" Position [460, 136, 480, 154] ZOrder -17 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "dic" SID "10::18" Position [460, 171, 480, 189] ZOrder -18 Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "v1" SID "10::19" Position [460, 206, 480, 224] ZOrder -19 Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "v2" SID "10::20" Position [460, 246, 480, 264] ZOrder -20 Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "v3" SID "10::21" Position [460, 281, 480, 299] ZOrder -21 Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "tau" SID "10::22" Position [460, 316, 480, 334] ZOrder -22 Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "isense" SID "10::23" Position [460, 351, 480, 369] ZOrder -23 Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "dom" SID "10::24" Position [460, 386, 480, 404] ZOrder -24 Port "9" IconDisplay "Port number" } Line { ZOrder 47 SrcBlock "ia" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { ZOrder 48 SrcBlock "ib" SrcPort 1 DstBlock " SFunction " DstPort 2 } Line { ZOrder 49 SrcBlock "ic" SrcPort 1 DstBlock " SFunction " DstPort 3 } Line { ZOrder 50 SrcBlock "vol" SrcPort 1 DstBlock " SFunction " DstPort 4 } Line { ZOrder 51 SrcBlock "t1" SrcPort 1 DstBlock " SFunction " DstPort 5 } Line { ZOrder 52 SrcBlock "t2" SrcPort 1 DstBlock " SFunction " DstPort 6 } Line { ZOrder 53 SrcBlock "t3" SrcPort 1 DstBlock " SFunction " DstPort 7 } Line { ZOrder 54 SrcBlock "t4" SrcPort 1 DstBlock " SFunction " DstPort 8 } Line { ZOrder 55 SrcBlock "t5" SrcPort 1 DstBlock " SFunction " DstPort 9 } Line { ZOrder 56 SrcBlock "t6" SrcPort 1 DstBlock " SFunction " DstPort 10 } Line { ZOrder 57 SrcBlock "om" SrcPort 1 DstBlock " SFunction " DstPort 11 } Line { ZOrder 58 SrcBlock "th" SrcPort 1 DstBlock " SFunction " DstPort 12 } Line { Name "dia" ZOrder 59 Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "dia" DstPort 1 } Line { Name "dib" ZOrder 60 Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "dib" DstPort 1 } Line { Name "dic" ZOrder 61 Labels [0, 0] SrcBlock " SFunction " SrcPort 4 DstBlock "dic" DstPort 1 } Line { Name "v1" ZOrder 62 Labels [0, 0] SrcBlock " SFunction " SrcPort 5 DstBlock "v1" DstPort 1 } Line { Name "v2" ZOrder 63 Labels [0, 0] SrcBlock " SFunction " SrcPort 6 DstBlock "v2" DstPort 1 } Line { Name "v3" ZOrder 64 Labels [0, 0] SrcBlock " SFunction " SrcPort 7 DstBlock "v3" DstPort 1 } Line { Name "tau" ZOrder 65 Labels [0, 0] SrcBlock " SFunction " SrcPort 8 DstBlock "tau" DstPort 1 } Line { Name "isense" ZOrder 66 Labels [0, 0] SrcBlock " SFunction " SrcPort 9 DstBlock "isense" DstPort 1 } Line { Name "dom" ZOrder 67 Labels [0, 0] SrcBlock " SFunction " SrcPort 10 DstBlock "dom" DstPort 1 } Line { ZOrder 68 SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } Line { ZOrder 69 SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } } } Block { BlockType ToWorkspace Name "Current a" SID "11" Ports [1] Position [620, 160, 680, 190] ZOrder -10 FontName "Arial" FontSize 14 VariableName "ia" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Current b" SID "12" Ports [1] Position [620, 220, 680, 250] ZOrder -11 FontName "Arial" FontSize 14 VariableName "ib" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Current c" SID "13" Ports [1] Position [620, 280, 680, 310] ZOrder -12 FontName "Arial" FontSize 14 VariableName "ic" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Hall effect a " SID "14" Ports [1] Position [1000, 160, 1060, 190] ZOrder -13 FontName "Arial" FontSize 14 VariableName "ha" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Hall effect b" SID "15" Ports [1] Position [1000, 210, 1060, 240] ZOrder -14 FontName "Arial" FontSize 14 VariableName "hb" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Hall effect c" SID "16" Ports [1] Position [1000, 270, 1060, 300] ZOrder -15 FontName "Arial" FontSize 14 VariableName "hc" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType SubSystem Name "Hall effect sensor model" SID "17" Ports [1, 3] Position [790, 312, 895, 418] ZOrder -16 FontName "Arial" FontSize 14 ErrorFcn "Stateflow.Translate.translate" PermitHierarchicalResolution "ExplicitOnly" TreatAsAtomicUnit on RequestExecContextInheritance off SFBlockType "MATLAB Function" Variant off System { Name "Hall effect sensor model" Location [197, 233, 864, 861] Open off PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "13" Block { BlockType Inport Name "th" SID "17::1" Position [20, 101, 40, 119] ZOrder -1 IconDisplay "Port number" } Block { BlockType Demux Name " Demux " SID "17::12" Ports [1, 1] Position [270, 245, 320, 285] ZOrder 5 Outputs "1" } Block { BlockType S-Function Name " SFunction " SID "17::11" Tag "Stateflow S-Function Lab6 3" Ports [1, 4] Position [180, 102, 230, 203] ZOrder 4 FunctionName "sf_sfun" PortCounts "[1 4]" SFunctionDeploymentMode off EnableBusSupport on SFcnIsStateOwnerBlock off Port { PortNumber 2 Name "ha" } Port { PortNumber 3 Name "hb" } Port { PortNumber 4 Name "hc" } } Block { BlockType Terminator Name " Terminator " SID "17::13" Position [460, 256, 480, 274] ZOrder 6 } Block { BlockType Outport Name "ha" SID "17::5" Position [460, 101, 480, 119] ZOrder -5 IconDisplay "Port number" } Block { BlockType Outport Name "hb" SID "17::6" Position [460, 136, 480, 154] ZOrder -6 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "hc" SID "17::7" Position [460, 171, 480, 189] ZOrder -7 Port "3" IconDisplay "Port number" } Line { ZOrder 13 SrcBlock "th" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "ha" ZOrder 14 Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "ha" DstPort 1 } Line { Name "hb" ZOrder 15 Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "hb" DstPort 1 } Line { Name "hc" ZOrder 16 Labels [0, 0] SrcBlock " SFunction " SrcPort 4 DstBlock "hc" DstPort 1 } Line { ZOrder 17 SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } Line { ZOrder 18 SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } } } Block { BlockType Integrator Name "Integrator\nfor theta" SID "18" Ports [1, 1] Position [600, 640, 630, 670] ZOrder -17 FontName "Arial" FontSize 14 } Block { BlockType Integrator Name "Integrator \nfor omega" SID "19" Ports [1, 1] Position [465, 640, 495, 670] ZOrder -18 FontName "Arial" FontSize 14 } Block { BlockType Integrator Name "Integrator ia " SID "20" Ports [1, 1] Position [455, 160, 485, 190] ZOrder -19 FontName "Arial" FontSize 14 } Block { BlockType Integrator Name "Integrator ib" SID "21" Ports [1, 1] Position [455, 220, 485, 250] ZOrder -20 FontName "Arial" FontSize 14 } Block { BlockType Integrator Name "Integrator ic" SID "22" Ports [1, 1] Position [455, 280, 485, 310] ZOrder -21 FontName "Arial" FontSize 14 } Block { BlockType ToWorkspace Name "Line voltage 1" SID "23" Ports [1] Position [475, 365, 535, 395] ZOrder -22 FontName "Arial" FontSize 14 VariableName "v1" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Line voltage 2" SID "24" Ports [1] Position [475, 425, 535, 455] ZOrder -23 FontName "Arial" FontSize 14 VariableName "v2" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Line voltage 3" SID "25" Ports [1] Position [475, 480, 535, 510] ZOrder -24 FontName "Arial" FontSize 14 VariableName "v3" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Gain Name "Rsense" SID "26" Position [660, 568, 720, 622] ZOrder -25 FontName "Arial" FontSize 14 Gain "0.5" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType ToWorkspace Name "T1" SID "27" Ports [1] Position [125, 355, 185, 385] ZOrder -26 ShowName off FontName "Arial" FontSize 14 VariableName "t1" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "T3" SID "28" Ports [1] Position [125, 395, 185, 425] ZOrder -27 ShowName off FontName "Arial" FontSize 14 VariableName "t2" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "T4" SID "29" Ports [1] Position [125, 445, 185, 475] ZOrder -28 ShowName off FontName "Arial" FontSize 14 VariableName "t3" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "T5" SID "30" Ports [1] Position [125, 490, 185, 520] ZOrder -29 ShowName off FontName "Arial" FontSize 14 VariableName "t4" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "T6" SID "31" Ports [1] Position [125, 535, 185, 565] ZOrder -30 ShowName off FontName "Arial" FontSize 14 VariableName "t5" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "T7" SID "32" Ports [1] Position [125, 575, 185, 605] ZOrder -31 ShowName off FontName "Arial" FontSize 14 VariableName "t6" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Torque" SID "33" Ports [1] Position [580, 520, 640, 550] ZOrder -32 FontName "Arial" FontSize 14 VariableName "tau" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Velocity" SID "34" Ports [1] Position [925, 835, 985, 865] ZOrder -33 FontName "Arial" FontSize 14 VariableName "om" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Velocity rpm" SID "35" Ports [1] Position [925, 740, 985, 770] ZOrder -34 FontName "Arial" FontSize 14 VariableName "omrpm" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Voltage on resistor" SID "36" Ports [1] Position [910, 545, 970, 575] ZOrder -35 FontName "Arial" FontSize 14 VariableName "vsense" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Gain Name "rpm per rad/s" SID "37" Position [820, 728, 880, 782] ZOrder -36 FontName "Arial" FontSize 14 Gain "30/pi" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "th" SID "38" Position [1090, 648, 1120, 662] ZOrder -37 FontName "Arial" FontSize 14 IconDisplay "Port number" } Block { BlockType Outport Name "om" SID "39" Position [1090, 803, 1120, 817] ZOrder -38 FontName "Arial" FontSize 14 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "v1" SID "40" Position [620, 348, 650, 362] ZOrder -39 FontName "Arial" FontSize 14 Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "v2" SID "41" Position [620, 408, 650, 422] ZOrder -40 FontName "Arial" FontSize 14 Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "v3" SID "42" Position [620, 468, 650, 482] ZOrder -41 FontName "Arial" FontSize 14 Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "vsense" SID "43" Position [1090, 588, 1120, 602] ZOrder -42 FontName "Arial" FontSize 14 Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "ha" SID "44" Position [1090, 323, 1120, 337] ZOrder -43 FontName "Arial" FontSize 14 Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "hb" SID "45" Position [1090, 358, 1120, 372] ZOrder -44 FontName "Arial" FontSize 14 Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "hc" SID "46" Position [1090, 393, 1120, 407] ZOrder -45 FontName "Arial" FontSize 14 Port "9" IconDisplay "Port number" } Line { ZOrder 1 SrcBlock "Integrator \nfor omega" SrcPort 1 Points [46, 0] Branch { ZOrder 2 DstBlock "Integrator\nfor theta" DstPort 1 } Branch { ZOrder 3 Points [0, 155] Branch { ZOrder 4 Points [161, 0] Branch { ZOrder 5 Points [0, -55] DstBlock "rpm per rad/s" DstPort 1 } Branch { ZOrder 6 Points [183, 0] Branch { ZOrder 7 Points [0, 40] DstBlock "Velocity" DstPort 1 } Branch { ZOrder 8 DstBlock "om" DstPort 1 } } } Branch { ZOrder 9 Points [-385, 0; 0, -195] DstBlock "BLDC motor model" DstPort 11 } } } Line { ZOrder 10 SrcBlock "Integrator ib" SrcPort 1 Points [50, 0] Branch { ZOrder 11 DstBlock "Current b" DstPort 1 } Branch { ZOrder 12 Points [0, -155; -385, 0; 0, 130] DstBlock "BLDC motor model" DstPort 2 } } Line { ZOrder 13 SrcBlock "BLDC motor model" SrcPort 9 DstBlock "Integrator \nfor omega" DstPort 1 } Line { ZOrder 14 SrcBlock "BLDC motor model" SrcPort 2 DstBlock "Integrator ib" DstPort 1 } Line { ZOrder 15 SrcBlock "BLDC motor model" SrcPort 1 DstBlock "Integrator ia " DstPort 1 } Line { ZOrder 16 SrcBlock "BLDC motor model" SrcPort 3 DstBlock "Integrator ic" DstPort 1 } Line { ZOrder 17 SrcBlock "BLDC motor model" SrcPort 7 DstBlock "Torque" DstPort 1 } Line { ZOrder 18 SrcBlock "Integrator ic" SrcPort 1 Points [75, 0] Branch { ZOrder 19 DstBlock "Current c" DstPort 1 } Branch { ZOrder 20 Points [0, -240; -450, 0; 0, 200] DstBlock "BLDC motor model" DstPort 3 } } Line { ZOrder 21 SrcBlock "rpm per rad/s" SrcPort 1 DstBlock "Velocity rpm" DstPort 1 } Line { ZOrder 22 SrcBlock "Integrator\nfor theta" SrcPort 1 Points [41, 0] Branch { ZOrder 23 Points [0, 100; -489, 0; 0, -95] DstBlock "BLDC motor model" DstPort 12 } Branch { ZOrder 24 Points [69, 0] Branch { ZOrder 25 Points [105, 0] Branch { ZOrder 26 DstBlock "th" DstPort 1 } Branch { ZOrder 27 Points [0, 40] DstBlock "Angle" DstPort 1 } } Branch { ZOrder 28 Points [0, -290] DstBlock "Hall effect sensor model" DstPort 1 } } } Line { ZOrder 29 SrcBlock "Integrator ia " SrcPort 1 Points [25, 0] Branch { ZOrder 30 Points [0, -65; -320, 0; 0, 55] DstBlock "BLDC motor model" DstPort 1 } Branch { ZOrder 31 DstBlock "Current a" DstPort 1 } } Line { ZOrder 32 SrcBlock "vol" SrcPort 1 DstBlock "BLDC motor model" DstPort 4 } Line { ZOrder 33 SrcBlock "BLDC motor model" SrcPort 8 DstBlock "Rsense" DstPort 1 } Line { ZOrder 34 SrcBlock "Rsense" SrcPort 1 Points [145, 0] Branch { ZOrder 35 DstBlock "vsense" DstPort 1 } Branch { ZOrder 36 Points [0, -35] DstBlock "Voltage on resistor" DstPort 1 } } Line { ZOrder 37 SrcBlock "t1" SrcPort 1 Points [36, 0] Branch { ZOrder 38 Points [0, 25] DstBlock "T1" DstPort 1 } Branch { ZOrder 39 DstBlock "BLDC motor model" DstPort 5 } } Line { ZOrder 40 SrcBlock "t2" SrcPort 1 Points [35, 0] Branch { ZOrder 41 Points [0, 20] DstBlock "T3" DstPort 1 } Branch { ZOrder 42 DstBlock "BLDC motor model" DstPort 6 } } Line { ZOrder 43 SrcBlock "t6" SrcPort 1 Points [30, 0] Branch { ZOrder 44 Points [0, 20] DstBlock "T7" DstPort 1 } Branch { ZOrder 45 DstBlock "BLDC motor model" DstPort 10 } } Line { ZOrder 46 SrcBlock "t5" SrcPort 1 Points [29, 0] Branch { ZOrder 47 Points [0, 25] DstBlock "T6" DstPort 1 } Branch { ZOrder 48 DstBlock "BLDC motor model" DstPort 9 } } Line { ZOrder 49 SrcBlock "t4" SrcPort 1 Points [28, 0] Branch { ZOrder 50 Points [0, 25] DstBlock "T5" DstPort 1 } Branch { ZOrder 51 DstBlock "BLDC motor model" DstPort 8 } } Line { ZOrder 52 SrcBlock "t3" SrcPort 1 Points [34, 0] Branch { ZOrder 53 Points [0, 25] DstBlock "T4" DstPort 1 } Branch { ZOrder 54 DstBlock "BLDC motor model" DstPort 7 } } Line { ZOrder 55 SrcBlock "Hall effect sensor model" SrcPort 1 Points [25, 0] Branch { ZOrder 56 DstBlock "ha" DstPort 1 } Branch { ZOrder 57 Points [0, -155] DstBlock "Hall effect a " DstPort 1 } } Line { ZOrder 58 SrcBlock "Hall effect sensor model" SrcPort 2 Points [40, 0] Branch { ZOrder 59 DstBlock "hb" DstPort 1 } Branch { ZOrder 60 Points [0, -140] DstBlock "Hall effect b" DstPort 1 } } Line { ZOrder 61 SrcBlock "Hall effect sensor model" SrcPort 3 Points [60, 0] Branch { ZOrder 62 DstBlock "hc" DstPort 1 } Branch { ZOrder 63 Points [0, -115] DstBlock "Hall effect c" DstPort 1 } } Line { ZOrder 64 SrcBlock "BLDC motor model" SrcPort 4 Points [30, 0] Branch { ZOrder 65 DstBlock "v1" DstPort 1 } Branch { ZOrder 66 Points [0, 25] DstBlock "Line voltage 1" DstPort 1 } } Line { ZOrder 67 SrcBlock "BLDC motor model" SrcPort 5 Points [30, 0] Branch { ZOrder 68 DstBlock "v2" DstPort 1 } Branch { ZOrder 69 Points [0, 25] DstBlock "Line voltage 2" DstPort 1 } } Line { ZOrder 70 SrcBlock "BLDC motor model" SrcPort 6 Points [30, 0] Branch { ZOrder 71 DstBlock "v3" DstPort 1 } Branch { ZOrder 72 Points [0, 20] DstBlock "Line voltage 3" DstPort 1 } } } } Block { BlockType Clock Name "Clock" SID "62" Position [170, 280, 190, 300] ZOrder 3 FontName "Arial" FontSize 14 } Block { BlockType SubSystem Name "Command \ngenerator" SID "50" Ports [1, 1] Position [215, 258, 295, 322] ZOrder -5 FontName "Arial" FontSize 14 ErrorFcn "Stateflow.Translate.translate" PermitHierarchicalResolution "ExplicitOnly" TreatAsAtomicUnit on RequestExecContextInheritance off SFBlockType "MATLAB Function" Variant off System { Name "Command \ngenerator" Location [227, 345, 838, 789] Open off PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "11" Block { BlockType Inport Name "t" SID "50::1" Position [20, 101, 40, 119] ZOrder -1 IconDisplay "Port number" } Block { BlockType Demux Name " Demux " SID "50::10" Ports [1, 1] Position [270, 230, 320, 270] ZOrder 5 Outputs "1" } Block { BlockType S-Function Name " SFunction " SID "50::9" Tag "Stateflow S-Function Lab6 4" Ports [1, 2] Position [180, 100, 230, 160] ZOrder 4 FunctionName "sf_sfun" PortCounts "[1 2]" SFunctionDeploymentMode off EnableBusSupport on SFcnIsStateOwnerBlock off Port { PortNumber 2 Name "step" } } Block { BlockType Terminator Name " Terminator " SID "50::11" Position [460, 241, 480, 259] ZOrder 6 } Block { BlockType Outport Name "step" SID "50::5" Position [460, 101, 480, 119] ZOrder -5 IconDisplay "Port number" } Line { ZOrder 9 SrcBlock "t" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "step" ZOrder 10 Labels [0, 0] SrcBlock " SFunction " SrcPort 2 DstBlock "step" DstPort 1 } Line { ZOrder 11 SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } Line { ZOrder 12 SrcBlock " SFunction " SrcPort 1 DstBlock " Demux " DstPort 1 } } } Block { BlockType SubSystem Name "Open-loop\n6-step commutation" SID "49" Ports [1, 6] Position [455, 78, 580, 502] ZOrder -4 FontName "Arial" FontSize 14 ErrorFcn "Stateflow.Translate.translate" PermitHierarchicalResolution "ExplicitOnly" TreatAsAtomicUnit on RequestExecContextInheritance off SFBlockType "MATLAB Function" Variant off System { Name "Open-loop\n6-step commutation" Location [197, 233, 864, 861] Open off PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "16" Block { BlockType Inport Name "step" SID "49::1" Position [20, 101, 40, 119] ZOrder -1 IconDisplay "Port number" } Block { BlockType Demux Name " Demux " SID "49::15" Ports [1, 1] Position [270, 350, 320, 390] ZOrder 5 Outputs "1" } Block { BlockType S-Function Name " SFunction " SID "49::14" Tag "Stateflow S-Function Lab6 1" Ports [1, 7] Position [180, 100, 230, 260] ZOrder 4 FunctionName "sf_sfun" PortCounts "[1 7]" SFunctionDeploymentMode off EnableBusSupport on SFcnIsStateOwnerBlock off Port { PortNumber 2 Name "t1" } Port { PortNumber 3 Name "t2" } Port { PortNumber 4 Name "t3" } Port { PortNumber 5 Name "t4" } Port { PortNumber 6 Name "t5" } Port { PortNumber 7 Name "t6" } } Block { BlockType Terminator Name " Terminator " SID "49::16" Position [460, 361, 480, 379] ZOrder 6 } Block { BlockType Outport Name "t1" SID "49::5" Position [460, 101, 480, 119] ZOrder -5 IconDisplay "Port number" } Block { BlockType Outport Name "t2" SID "49::6" Position [460, 136, 480, 154] ZOrder -6 Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "t3" SID "49::7" Position [460, 171, 480, 189] ZOrder -7 Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "t4" SID "49::8" Position [460, 206, 480, 224] ZOrder -8 Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "t5" SID "49::9" Position [460, 246, 480, 264] ZOrder -9 Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "t6" SID "49::10" Position [460, 281, 480, 299] ZOrder -10 Port "6" IconDisplay "Port number" } Line { ZOrder 19 SrcBlock "step" SrcPort 1 DstBlock " SFunction " DstPort 1 } Line { Name "t1" ZOrder 20 Labels [0, 0] SrcBlock " SFunction " SrcPort 2 Points [0, -30] DstBlock "t1" DstPort 1 } Line { Name "t2" ZOrder 21 Labels [0, 0] SrcBlock " SFunction " SrcPort 3 DstBlock "t2" DstPort 1 } Line { Name "t3" ZOrder 22 Labels [0, 0] SrcBlock " SFunction " SrcPort 4 DstBlock "t3" DstPort 1 } Line { Name "t4" ZOrder 23 Labels [0, 0] SrcBlock " SFunction " SrcPort 5 DstBlock "t4" DstPort 1 } Line { Name "t5" ZOrder 24 Labels [0, 0] SrcBlock " SFunction " SrcPort 6 DstBlock "t5" DstPort 1 } Line { Name "t6" ZOrder 25 Labels [0, 0] SrcBlock " SFunction " SrcPort 7 DstBlock "t6" DstPort 1 } Line { ZOrder 26 SrcBlock " Demux " SrcPort 1 DstBlock " Terminator " DstPort 1 } Line { ZOrder 27 SrcBlock " SFunction " SrcPort 1 Points [20, 0] DstBlock " Demux " DstPort 1 } } } Block { BlockType Terminator Name "Terminator ha" SID "51" Position [860, 355, 880, 375] ZOrder -6 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator hb" SID "52" Position [860, 410, 880, 430] ZOrder -7 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator hc" SID "53" Position [860, 465, 880, 485] ZOrder -8 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator om" SID "54" Position [860, 80, 880, 100] ZOrder -9 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator th" SID "55" Position [860, 25, 880, 45] ZOrder -10 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator v1" SID "56" Position [860, 135, 880, 155] ZOrder -11 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator v2" SID "57" Position [860, 190, 880, 210] ZOrder -12 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator v3" SID "58" Position [860, 245, 880, 265] ZOrder -13 FontName "Arial" FontSize 14 } Block { BlockType Terminator Name "Terminator vsense" SID "59" Position [860, 300, 880, 320] ZOrder -14 FontName "Arial" FontSize 14 } Block { BlockType ToWorkspace Name "step " SID "60" Ports [1] Position [345, 240, 405, 270] ZOrder -15 FontName "Arial" FontSize 14 VariableName "step" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Constant Name "vdc" SID "61" Position [600, 35, 635, 55] ZOrder -16 FontName "Arial" FontSize 14 Value "6" } Line { ZOrder 1 SrcBlock "Open-loop\n6-step commutation" SrcPort 1 DstBlock "BLDC 6-step model" DstPort 2 } Line { ZOrder 2 SrcBlock "BLDC 6-step model" SrcPort 2 DstBlock "Terminator om" DstPort 1 } Line { ZOrder 3 SrcBlock "BLDC 6-step model" SrcPort 6 DstBlock "Terminator vsense" DstPort 1 } Line { ZOrder 4 SrcBlock "BLDC 6-step model" SrcPort 1 DstBlock "Terminator th" DstPort 1 } Line { ZOrder 5 SrcBlock "BLDC 6-step model" SrcPort 3 DstBlock "Terminator v1" DstPort 1 } Line { ZOrder 6 SrcBlock "BLDC 6-step model" SrcPort 4 DstBlock "Terminator v2" DstPort 1 } Line { ZOrder 7 SrcBlock "BLDC 6-step model" SrcPort 5 DstBlock "Terminator v3" DstPort 1 } Line { ZOrder 22 SrcBlock "Clock" SrcPort 1 DstBlock "Command \ngenerator" DstPort 1 } Line { ZOrder 10 SrcBlock "Open-loop\n6-step commutation" SrcPort 6 DstBlock "BLDC 6-step model" DstPort 7 } Line { ZOrder 11 SrcBlock "Open-loop\n6-step commutation" SrcPort 5 DstBlock "BLDC 6-step model" DstPort 6 } Line { ZOrder 12 SrcBlock "Open-loop\n6-step commutation" SrcPort 4 DstBlock "BLDC 6-step model" DstPort 5 } Line { ZOrder 13 SrcBlock "Open-loop\n6-step commutation" SrcPort 3 DstBlock "BLDC 6-step model" DstPort 4 } Line { ZOrder 14 SrcBlock "Open-loop\n6-step commutation" SrcPort 2 DstBlock "BLDC 6-step model" DstPort 3 } Line { ZOrder 15 SrcBlock "vdc" SrcPort 1 DstBlock "BLDC 6-step model" DstPort 1 } Line { ZOrder 16 SrcBlock "Command \ngenerator" SrcPort 1 Points [20, 0] Branch { ZOrder 17 DstBlock "Open-loop\n6-step commutation" DstPort 1 } Branch { ZOrder 18 Points [0, -35] DstBlock "step " DstPort 1 } } Line { ZOrder 19 SrcBlock "BLDC 6-step model" SrcPort 7 DstBlock "Terminator ha" DstPort 1 } Line { ZOrder 20 SrcBlock "BLDC 6-step model" SrcPort 8 DstBlock "Terminator hb" DstPort 1 } Line { ZOrder 21 SrcBlock "BLDC 6-step model" SrcPort 9 DstBlock "Terminator hc" DstPort 1 } } } #Finite State Machines # # Stateflow 80000011 # # Stateflow { machine { id 1 name "Lab6" created "13-Jan-2015 20:25:02" isLibrary 0 sfVersion 80000011 firstTarget 56 } chart { id 2 machine 1 name "Open-loop\n6-step commutation" windowPosition [611 86.25 230 645] viewLimits [0 156.75 0 153.75] screen [1 1 1680 1050 1.333333333333333] treeNode [0 3 0 0] viewObj 2 ssIdHighWaterMark 38 decomposition CLUSTER_CHART type EML_CHART chartFileNumber 1 disableImplicitCasting 1 eml { name "Sixstepol" } supportVariableSizing 0 firstData 4 firstTransition 12 firstJunction 11 } state { id 3 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 2 treeNode [2 0 0 0] superState SUBCHART subviewer 2 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [t1,t2,t3,t4,t5,t6] = Sixstepol(step)\n%\nt1=0;t2=0;t3=0;t4=0;t5=0;t6=0;\nswitch step\n" " case 1;t1=0;t2=1;t3=0;t4=0;t5=0;t6=1;\n case 2;t1=0;t2=1;t3=0;t4=1;t5=0;t6=0;\n case 3;t1=0;t2=0;t3=1;" "t4=1;t5=0;t6=0;\n case 4;t1=0;t2=0;t3=1;t4=0;t5=1;t6=0;\n case 5;t1=1;t2=0;t3=0;t4=0;t5=1;t6=0;\n case " "6;t1=1;t2=0;t3=0;t4=0;t5=0;t6=1;\nend" editorLayout "100 M4x1[970 192 736 613]" fimathString "fimath(...\n'RoundMode', 'floor',...\n'OverflowMode', 'wrap',...\n'ProductMode', 'KeepLSB', " "'ProductWordLength', 32,...\n'SumMode', 'KeepLSB', 'SumWordLength', 32,...\n'CastBeforeSum', true)" emlDefaultFimath FimathUserSpecified } } data { id 4 ssIdNumber 15 name "step" scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 0 5] } data { id 5 ssIdNumber 17 name "t1" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 4 6] } data { id 6 ssIdNumber 32 name "t2" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 5 7] } data { id 7 ssIdNumber 33 name "t3" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 6 8] } data { id 8 ssIdNumber 34 name "t4" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 7 9] } data { id 9 ssIdNumber 35 name "t5" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 8 10] } data { id 10 ssIdNumber 36 name "t6" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [2 9 0] } junction { id 11 position [23.5747 49.5747 7] chart 2 subviewer 2 ssIdNumber 3 type CONNECTIVE_JUNCTION linkNode [2 0 0] } transition { id 12 labelString "{eML_blk_kernel();}" labelPosition [36.125 25.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 11 intersection [1 0 -1 0 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 2 dataLimits [21.175 25.975 14.625 42.575] subviewer 2 drawStyle SMART slide { sticky BOTH_STICK } executionOrder 1 ssIdNumber 2 linkNode [2 0 0] } instance { id 13 machine 1 name "Open-loop\n6-step commutation" chart 2 } chart { id 14 machine 1 name "BLDC 6-step model/Hall effect sensor model" windowPosition [611 86.25 230 645] viewLimits [0 156.75 0 153.75] screen [1 1 1680 1050 1.333333333333333] treeNode [0 15 0 0] viewObj 14 ssIdHighWaterMark 39 decomposition CLUSTER_CHART type EML_CHART chartFileNumber 3 disableImplicitCasting 1 eml { name "Hall" } supportVariableSizing 0 firstData 16 firstTransition 21 firstJunction 20 } state { id 15 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 14 treeNode [14 0 0 0] superState SUBCHART subviewer 14 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [ha,hb,hc] = Hall(th)\n% \n% Number of pole pairs\n% \nnp=2;\n%\n% Hall effect sensors m" "odel\n%\nthcom=mod(np*th+pi/6,2*pi);\nha=0;hb=0;hc=0;\nif (thcom=pi/3,t" "hcom<2*pi/3);ha=0;hb=1;hc=0;end;\nif and(thcom>=2*pi/3,thcom=pi,thcom<4*p" "i/3);ha=0;hb=0;hc=1;end;\nif and(thcom>=4*pi/3,thcom<5*pi/3);ha=1;hb=0;hc=1;end;\nif (thcom>=5*pi/3);ha=1;hb=0;h" "c=0;end;" editorLayout "100 M4x1[746 129 875 959]" fimathString "fimath(...\n'RoundMode', 'floor',...\n'OverflowMode', 'wrap',...\n'ProductMode', 'KeepLSB', " "'ProductWordLength', 32,...\n'SumMode', 'KeepLSB', 'SumWordLength', 32,...\n'CastBeforeSum', true)" emlDefaultFimath FimathUserSpecified } } data { id 16 ssIdNumber 34 name "ha" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [14 0 17] } data { id 17 ssIdNumber 35 name "hb" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [14 16 18] } data { id 18 ssIdNumber 36 name "hc" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [14 17 19] } data { id 19 ssIdNumber 25 name "th" scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_INHERITED unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [14 18 0] } junction { id 20 position [23.5747 49.5747 7] chart 14 subviewer 14 ssIdNumber 3 type CONNECTIVE_JUNCTION linkNode [14 0 0] } transition { id 21 labelString "{eML_blk_kernel();}" labelPosition [36.125 25.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 20 intersection [1 0 -1 0 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 14 dataLimits [23.575 23.575 14.625 34.575] subviewer 14 drawStyle SMART slide { sticky BOTH_STICK } executionOrder 1 ssIdNumber 2 linkNode [14 0 0] } instance { id 22 machine 1 name "BLDC 6-step model/Hall effect sensor model" chart 14 } chart { id 23 machine 1 name "Command \ngenerator" windowPosition [574 114 213 385] viewLimits [0 156.75 0 153.75] screen [1 1 1680 1050 1.333333333333333] treeNode [0 24 0 0] viewObj 23 ssIdHighWaterMark 28 decomposition CLUSTER_CHART type EML_CHART chartFileNumber 4 disableImplicitCasting 1 eml { name "Steps" } supportVariableSizing 0 firstData 25 firstTransition 28 firstJunction 27 } state { id 24 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 23 treeNode [23 0 0 0] superState SUBCHART subviewer 23 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function step = Steps(t)\nstep=0;\n%\nif t>0.1;step=1;end;\nif t>0.3;step=2;end;\nif t>0.5;step=3" ";end;\nif t>0.7;step=4;end;\nif t>0.9;step=5;end;\nif t>1.1;step=6;end;\nif t>1.3;step=1;end;\nif t>1.5;step=2;e" "nd;\nif t>1.7;step=3;end;\nif t>1.9;step=4;end;\nif t>2.1;step=5;end;\nif t>2.3;step=6;end;\n" editorLayout "100 M4x1[970 192 736 613]" fimathString "fimath(...\n'RoundMode', 'floor',...\n'OverflowMode', 'wrap',...\n'ProductMode', 'KeepLSB', " "'ProductWordLength', 32,...\n'SumMode', 'KeepLSB', 'SumWordLength', 32,...\n'CastBeforeSum', true)" emlDefaultFimath FimathUserSpecified } } data { id 25 ssIdNumber 15 name "t" scope INPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [23 0 26] } data { id 26 ssIdNumber 27 name "step" scope OUTPUT_DATA machine 1 props { array { size "-1" } type { method SF_INHERITED_TYPE primitive SF_DOUBLE_TYPE isSigned 1 wordLength "16" } complexity SF_COMPLEX_INHERITED frame SF_FRAME_NO unit { name "inherit" } } dataType "Inherit: Same as Simulink" linkNode [23 25 0] } junction { id 27 position [23.5747 49.5747 7] chart 23 subviewer 23 ssIdNumber 3 type CONNECTIVE_JUNCTION linkNode [23 0 0] } transition { id 28 labelString "{eML_blk_kernel();}" labelPosition [36.125 25.875 102.544 14.964] fontSize 12 src { intersection [0 0 1 0 23.5747 14.625 0 0] } dst { id 27 intersection [1 0 -1 0 23.5747 42.5747 0 0] } midPoint [23.5747 24.9468] chart 23 dataLimits [23.575 23.575 14.625 34.575] subviewer 23 drawStyle SMART slide { sticky BOTH_STICK } executionOrder 1 ssIdNumber 2 linkNode [23 0 0] } instance { id 29 machine 1 name "Command \ngenerator" chart 23 } chart { id 30 machine 1 name "BLDC 6-step model/BLDC motor model" windowPosition [591 86.25 230 645] viewLimits [0 156.75 0 153.75] screen [1 1 1680 1050 1.333333333333333] treeNode [0 31 0 0] viewObj 30 ssIdHighWaterMark 42 decomposition CLUSTER_CHART type EML_CHART chartFileNumber 12 disableImplicitCasting 1 eml { name "Bldc" } supportVariableSizing 0 firstData 32 firstTransition 54 firstJunction 53 } state { id 31 labelString "eML_blk_kernel()" position [18 64.5 118 66] fontSize 12 chart 30 treeNode [30 0 0 0] superState SUBCHART subviewer 30 ssIdNumber 1 type FUNC_STATE decomposition CLUSTER_STATE eml { isEML 1 script "function [dia,dib,dic,v1,v2,v3,tau,isense,dom] = Bldc(ia,ib,ic,vol,t1,t2,t3,t4,t5,t6,om,th)\n%\n%" " Brushless DC motor model in 6 step commutation\n% Simplifications:\n% * protective diodes are modelled approx" "imately\n% * voltage drop due to sensing resistor is not modelled\n% \n% Parameters\n% \nr=0.9;lmm=0.0022;kl=0" ".046*sqrt(2/3);jm=1.65e-5;cf=0.01;b=1e-5;np=2;imin=1e-3;\n%\n% Back-emf model\n%\nnpth=np*th;\nsa=sin(npth);sb=s" "in(npth-2*pi/3);sc=sin(npth-4*pi/3);\nea=-kl*om*sa;eb=-kl*om*sb;ec=-kl*om*sc; \n%\n% Commutation model with grou" "nd current sensing\n%\ne=[ea;eb;ec];cur=[ia;ib;ic];isense=0;\nvbase=zeros(3,1);dvn=zeros(3,1);\ntsw=[t1 t2 t3 t4" " t5 t6];\nfor isw=1:3\n% if and(tsw(isw)==1,tsw(isw+3)==1);\n% eml.extrinsic('error')\n% error(' Error" ": switches shortcircuit the DC supply') \n% end;\n if and(tsw(isw)==1,tsw(isw+3)==0)\n vbase(isw)=vol;\n " "end\n if and(tsw(isw)==0,tsw(isw+3)==1)\n isense=isense-cur(isw);\n end\n if and(tsw(isw)==0,tsw(isw+3)==0" ")\n if (cur(isw)>imin);isense=isense-cur(isw);\n elseif (cur(isw)<-imin);vbase(isw)=vol;else\n vbase(" "isw)=e(isw);dvn(isw)=1;\n end\n end\nend\naux=[1 1 1]*dvn;\nif aux==3;vn=0;\nelse vn=[1 1 1]*vbase/(3-aux);\n" "end\nv=vbase+dvn*vn;\nv1=v(1);v2=v(2);v3=v(3);\nva=v1-vn;vb=v2-vn;vc=v3-vn;\n%\n% Motor model\n%\ndia=(va-r*ia-e" "a)/lmm;dib=(vb-r*ib-eb)/lmm;\ndic=(vc-r*ic-ec)/lmm;tau=-kl*ia*sa-kl*ib*sb-kl*ic*sc;\ndom=(tau-cf*sign(om)-b*om)/" "jm;\nif and(abs(tau)